Intel 7、Intel 4、Intel 3、Intel 20A、それらは何であり、それらは何に相当しますか?

Intel 7、Intel 4、Intel 3、Intel 20A

私たちは、リソグラフィープロセスとも呼ばれるリソグラフィーノードと同じくらい業界にとって重要な何かに関して世代交代に直面しています。 数十年の間にチップを持っているすべてのセクターを支配することを主な目標と目的とするレース、これからの数年間のマラソンがあります。 インテル はその道を進んでおり、地元の人々や見知らぬ人を困惑させてきた、最も差し迫った将来のプロセスの名前を採用しました。 また、それらに関するデータが増えたので、Intel 7、Intel 4、Intel 3、およびIntel 20Aとは何ですか?

CPU、そのモデル、特性だけでなく、CPUが実装するリソグラフィプロセスも変更されていることは間違いなく問題です。 最初の質問は明らかですが、なぜIntelはこれまでの名前を変更するのですか?

FinFETトランジスタアーキテクチャ

インテル-Acelerated-processor-transistor-FinFET-RibbonFET-Intel-7-intel-4-intel-3-intel-20a

テクノロジーは進歩し、今まで以上に進歩しています。 すべては、終わりのない円積問題のパフォーマンスと効率に焦点を当てており、それまでに、過去が今日であるような一時的なターンで「遅れる」か、そう思われます。

22 nm以降、すでに多くの雨が降っていますが、IntelがFinFETトランジスタの実装を開始した重要な瞬間であり、間もなく終了します。これはまさに、変化と今後の方向性を示す出発点です。 トランジスタ技術としてのFinFETは、当時としては非常に高度な3D構造を備えたいわゆる「エリアゲート」を改善し、トランジスタあたりの総面積のスケーリングが改善されました。

これにより、当時の現在の測定値が確認され、異なる命名法と客観的なジャンプがマークされ、Intelがノードの名前を変更することを決定したため、22mmウェーハ用の300nmが誕生しました。

インテル アクセラレーテッド 2

次に何が起こったのですか? 多くの人がその話を知っています。TSMCは少なくとも一時的に来て、見て、勝ちました。これは、より高度なリソグラフィプロセスを統合することで、少なくともこれまでのところ、Intelよりも優れたマーケティングを行うことができることを意味します。

私たちが時々取り組んできた問題は、トランジスタの命名基準が統一されていないことです。これは、各企業が実装した改善点を活用し、その利点を判断する方法を選択しているためです。

比較すると、同じ命名番号のIntel Pitch Gateは、TSMCや サムスン 逆もまた同様です。つまり、一部の10 nmは、競合他社のXNUMX nmに技術的に対応していないため、選択したメーカーを選択します。 マーケティングの観点からは、数値が小さいほどトランジスタのサイズが小さいことを意味し、これにより面積が改善されますが、ほとんどの場合、これは当てはまりません。

新しい名前:Intel 7、Intel 4、Intel 3、Intel 20A

インテル-ノード-FinFET-RibbonFET

Intelの現在の10nmは、TSMCの7nmよりも少し進んでおり、Samsungの8nmよりも一歩進んでいます。同じことが、7nmと5nmおよび3nmのライバル(時間どおりに到着した場合はGAAを使用したSamsungを除く)でXNUMX年強で発生します。 。

したがって、青い巨人は一般の人々で働いていなかったので、いくつかの秩序を整え、その技術的特徴を固定された命名法で整理しなければなりませんでした。 このため、「SuperFin」タグが追加された現在の10 nmを尊重すると、問題は、10 nm +がその名前を持っているように見えたため、最初は論争を引き起こしたことですが、実際にはそうではありません。

Intel-Acelerated-FinFET-a-RibbonFET

これは、前述の調整によるものであり、Intel 4、Intel 7、Intel 4、Intel 3Aの20つのキー名を使用して、将来の道を切り開いています。サンタクララはタグ「nm」を完全に廃止しました。

インテル7

インテル-7-1

上で説明したように、最初に10 nm +、次に10 nmSuperFinと呼ばれる10nmの進化は、最終的にIntel 7と呼ばれます。この混乱はすべて、ブルースが長年にわたって行ってきた問題、遅延、および発表に起因します。次のXNUMX年にすでに適応されているこの新しい名前で形になります。

その主な改善点は何ですか? 最も重要なことはパフォーマンスです。Intelは、現在の15 nmと比較して10%に達する可能性があるワットあたりの増加を確認できることを保証しますが、最悪の場合は10%になる可能性もあります。

Intel-7-Alder-Lake-y-Sapphire-Rapids

それは本当にたくさんですか、それとも少しですか? さて、以前の10 nmのアップデートであり、ジャンプを確認することは正しいことではありません。これらのパーセンテージは通常、新しいノードで達成されるパーセンテージであるため、Intel7と呼ぶことは私たちの意見では正しいと言えます。

それらはまだFinFETトランジスタですが、抵抗の改善、電力の制御の改善、およびその供給など、これまで知らなかった重要な最適化があります。 これは、Intelの効率がクロックあたりのクロックを大幅に改善したAlderLakeプロセッサで見られました。

インテル4

Intel-4-Meteor-Lake-y-Granite-Rapids

10nmのSuperFinが現在Intel7である場合、古い7nmは現在Intel4と呼ばれているので、私たちは自分自身の位置に戻ります。ここでのジャンプは何でしょうか。 彫刻技術としてEUVを使用するのは会社で最初のノードになることを考えると、期待は非常に高く、正当な理由があります。 青い巨人は、ワットあたりのパフォーマンスが20%向上したことを示しています。これを考慮すると、原則として、各ウェーハで最大12層が使用されることは非常に関連性の高いデータです。

どうして? まあ、それは簡単です。 層が少ないということは、ウェーハ作成の簡素化、コストの削減、およびパフォーマンスの向上を意味します。

インテル-4

Intelは、より大きな電力制限のあるCPUに近づくにつれて、パフォーマンスの点でより良い電力の削減を達成するために、レイヤーの数を最適化します。

つまり、TDPが低いプロセッサは、そのノードでのパフォーマンスを20%向上させることができますが、どれだけかはわかりません。 いつ、どのようなアーキテクチャで市場に出回るのですか? まあ、それは2023年のいつかになるでしょう、それはおそらくデスクトップ用のMeteor Lakeで年の半ば前にデビューし、年末までにデータセンターとサーバーのGraniteRapidsでも同じになるでしょう。

インテル3

インテル-3-1

このリソグラフィプロセスには、古い100 nm +ノードまたは当時7nm ++という名前のノードであるかどうかを会社が7%指定していないため、いくつかの論争もあります。 Intelは、ワットあたりのパフォーマンスがさらに18%向上すると主張しているため、私たちが知っていることからすると、後者である可能性が高くなります。

さらに、電力削減の飛躍的進歩はより大きく、パフォーマンスはよりスケーラブルです。 CPU 必要な電圧が少ないか、それに制限されているため、パフォーマンスのギャップが少し大きくなる可能性があります。

Intel 3自体は、FinFETトランジスタ技術の終焉であり、技術的には会社の歴史の中で最大の飛躍の先駆者となるでしょう。 このため、このIntel 3は、HPの成長に基づいてより高い面密度を持ち、さらに優れた抵抗、層の相互接続を改善する新しい材料を提供し、これにより、より多くのインターポーザーを相互接続することが可能になります。

ここでのEUV技術は、私たちが見た以前の標準よりもジャンプが大きくなる、つまり以前のノードよりも大幅に改善されると同社が言っているという点で、もう一度言うことがたくさんあります。 このノードに命を吹き込むアーキテクチャは、すべてがうまくいけば2023年後半または遅くとも2024年初頭にアローレイクになります。

インテル20A

インテル-20a

これは、一連の広範囲にわたる改善を網羅しているため、インテルがその歴史の中で実装した概念および新規性としての最大の変更です。 指定Aは、主に会社がナノメートル自体を残したいという理由で、測定単位オングストロームを指します。

2024年のいつか、おそらく前半に到着するでしょうが、すべての企業で遅れが見られているため、年末までに到着する可能性があるという噂がすでにあります。 同様に、主な改善点は、FinFETに別れを告げ、こんにちはと言うことです。 リボンFET 、Intelの実装 GAAまたはGate-All-Aroundテクノロジー 対応する記事ですでに独占的に扱っています。

インテル20A

XNUMX番目の改善はいわゆる 電源経由 、これは電力消費とトランジスタへの実装を目的としています。 FinFETは、信号をルーティングしたのと同じシステムを介してトランジスタの上部から電力を供給されていました。これには、各リソグラフィーの飛躍で使用される材料のほぼ絶対的な精度と絶え間ない革新が必要でした。

Intel 3が限界であり、Intel20Aがこれで何をするか 電源経由 技術は単純です。新しいトランジスタ方式で信号経路と電源を分離します。これらはそれぞれの下部で生成されます。 各トランジスタのFinFET構造ではこれまで得られなかった利点(効率の向上、消費量の削減、信号の向上、供給の安定性の向上、ゲートでの制御の向上、信号ノイズの低下)を理解するために、非常に賢くする必要はありません。ウェーハあたりの最低故障率は言うまでもなく、内部レイテンシ。

intel-20a-リボンフェット

彼らはどのようにそれをしますか? 基本的に、各ユニットの電源ケーブルが作成されるウェーハの背面にあるトランジスタの下に層が追加されます。 Intelは、結果が良好であると確信しているため、リソースをFinFETに費やすことでFinFETに適合させることもできます。

そして、彼らはそれを実装できるかどうかさえ確信していませんが、青い巨人の言葉で、彼らは少なくともそれを試してみたいと思っています。 いずれにせよ、私たちはおそらく到着するノードについて話している 2025 、最後に、それは早くも2024年に生産に入るでしょうが、これに関係なく、 ノヴァ湖 コアアーキテクチャ パンサーコーブとダークモント パフォーマンスマイクロアーキテクチャが実現することが期待されているためです。 それぞれ効率。